摘要:

GPIB接口是测试仪器中常用的接口方式。通过将接口设计分解为同步状态机设计和寄存器读写电路设计,采用Verilog语言实现了满足IEEE488.1协议的IP Core设计。将此IP Core固化到FPGA芯片中即可实现GPIB各种接口功能。

下载地址

Files:
(2 votes)

GPIB接口的FPGA实现

Date 2019-03-01
File Size 848.05 KB
Download 504